English 中文(简体)
道格拉斯·史密斯的《高密度脂蛋白芯片设计》中的错误是否得到了纠正?
原标题:Have the errors in "HDL Chip Design" by Douglas Smith ever been corrected?
  • 时间:2011-02-06 15:06:49
  •  标签:
  • verilog

我的道格拉斯·史密斯的《高密度脂蛋白芯片设计》是2001年7月第九次印刷。

这本书系统地犯了在同步通信中使用阻塞分配的错误,这导致了不确定性代码。在这种情况下,应使用非阻塞分配。

如果有的话,这在以后的印刷中有没有被修正过?

问题回答

我刚才对此进行了广泛的搜索,找到了许多印刷品,但没有新版本的标题。很抱歉





相关问题
How to NOT use while() loops in verilog (for synthesis)?

I ve gotten in the habit of developing a lot testbenches and use for() and while() loops for testing purpose. Thats fine. The problem is that I ve taken this habit over to coding for circuits which ...

Displaying the Verilog parameter name

I am using the parameter keyword to define a state, i.e., RESET = 5 b00000. If I want to use $display to print out the state name instead of the binary representation, or display the state name in my ...

verilog modelsim fpga

Sorry for Newbish question. I am trying to learn about FPGA programming. Before I spend $1K on a FPGA board: if I just want to learn Verilog, can I run it entirely in Modelsim? (I realize there are ...

Assigning wires deep in a nested set of modules

I have a wire that is about 4 levels deep and I really don t want the hassle of having to propagate it up the hierarchy. Is there any way to assign the wire using some sort of referencing? I know I ...

schematic for verilog code that adds three inputs

What does the schematic looks like for the following verilog code? module mystery2(s, c, x, y, z); input x, y, z; output s, c; assign {c, s} = x + y + z; endmodule I know that {c, s} means ...

wire equation in verilog [closed]

If say I have the following wire set-ups, is the wire assignment all valid? wire[3:1] w; wire w1; wire [1:0] w2; A) w1 = w[2]; B) w2 = w[1:0]; C) w2 = w[1:2]; I am guessing that everything is ...

How to wire two modules in Verilog?

I have written two modules DLatch and RSLatch and i want to write verilog code to join those two.

热门标签