English 中文(简体)
• 如何利用图像数据启动局域网
原标题:How to initiate BRAMs with image data
  • 时间:2011-09-05 13:23:47
  •  标签:
  • vhdl
  • xilinx

我愿以图像数据启动一些BRAM(利用Ximx FPGAs和ISE)。 它必然通过编造档案,但如何? 我可以撰写一份 Java小册,以人工制作 co文档(在C++之前就是如此),但我想找到更快的解决办法。

增 编

最佳回答

各种可能性:

  • If you are inferring the BRAMs, you can initialise them in the HDL source with an array of data.
  • You can use Data2MEM to update an existing bitstream with new BRAM contents
  • As you say, COE files are a possibility
  • I believe you can use $readmem functions if you are using Verilog

None of which directly takes your source image in I m afraid.

如果你能把自己的形象带入全球监测网格式,并且正在使用全球人类生命线,那么你就能够在此发挥职能:

这里可以全面介绍守则:

http://www.parallelpoints.com/node/65/

我从未尝试过利用它们以综合代码表示记忆......

问题回答

暂无回答




相关问题
Starting work on a Pre-existing Project

So this is more of a generic question. I seem to keep finding myself being put on larger and larger projects. Recently I have been assigned to a very large project written in C and VHDL. The goal ...

Load half word and load byte in a single cycle datapath

There was this problem that has been asked about implementing a load byte into a single cycle datapath without having to change the data memory, and the solution was something below. alt text http://...

Why IEEE vhdl standard library is not STL?

IEEE vhdl language reference manual only defined a limited set of standard packages.And it do not defined the functionalities on the standard types,such as STD_LOGIC.So there are no standard AND2, INV ...

VHDL - When does a process() run for the first time?

Consider : process(a) According to the text i have : A process is first entered at the time of simulation, at which time it is executed until it suspends itself due to a wait statement or a ...

How to generate serial signal from string?

How do I send data represented by a binary string (e.g. "01011101000100111", length is variable) to an std_logic signal given either fixed delay or clock signal? I want this for a testbench so I d ...

Overflow bit 32Bit ALU VHDL

I m currently writing a 32Bit ALU (Add/Sub) in VHDL. I ve got a problem with the overflow bit. I can t see when to set the overflow depending on the operation (addition, subtraction) and the input ...

热门标签